진리표가있는 반가산기 및 완전 가산기 설명

문제를 제거하기 위해 도구를 사용해보십시오





조합 회로에서는 인코더, 멀티플렉서, 디코더 및 디멀티플렉서를 설계하는 데 서로 다른 논리 게이트가 사용됩니다. 이 회로는이 회로의 출력과 같은 몇 가지 특성을 가지고 있으며 주로 입력 단자에 항상있는 레벨에 따라 달라집니다. 이 회로에는 메모리가 포함되어 있지 않습니다. 입력의 이전 상태는이 회로의 현재 상태에 영향을주지 않습니다. 조합 회로의 입력과 출력은 'n'아니오입니다. 입력 및‘m’아니오. 출력의. 조합 회로 중 일부는 반가산기 및 전 가산기, 감산기, 인코더, 디코더, 멀티플렉서 및 디멀티플렉서입니다. 이 문서에서는 반가산기 및 완전 가산기의 개요를 설명하고 진리표를 사용합니다.

가산기는 무엇입니까?

가산기는 디지털 논리 회로 숫자를 더하기 위해 광범위하게 사용되는 전자 제품. 많은 컴퓨터 및 기타 유형의 프로세서에서 가산기는 주소 및 관련 활동을 계산하고 ALU의 테이블 인덱스를 계산하는 데 사용되며 프로세서의 다른 부분에서도 사용됩니다. 초과 3 또는 이진 코드 십진수와 같은 많은 숫자 표현을 위해 만들 수 있습니다. 가산기는 기본적으로 Half Adder와 Full Adder의 두 가지 유형으로 분류됩니다.




반가산기 및 전 가산기 회로는 무엇입니까?

반가산기 회로에는 A와 B라는 두 개의 입력이 있으며, 두 개의 입력 숫자를 더하고 캐리와 합계를 생성합니다. 완전 가산기 회로에는 3 개의 입력이 있습니다. A와 C는 3 개의 입력 번호를 더하고 캐리와 합계를 생성합니다. 이 기사는 반 가산기의 목적에 대한 자세한 정보를 제공합니다. 표 형식 및 회로도의 전체 가산기. 덧셈기의 주된 목적이 덧셈이라고 이미 언급되어 있습니다. 아래는 자세한 내용입니다 반가산기 및 전 가산기 이론.

기본 반가산기 및 전 가산기

기본 반가산기 및 전 가산기



반가산기

그래서, 반가산기의 시나리오에 와서, 입력 비트가 augend와 addend로 불리는 2 개의 이진수를 추가하고 결과는 하나는 합이고 다른 하나는 캐리입니다. 합계 연산을 수행하기 위해 XOR이 두 입력에 적용되고 AND 게이트가 두 입력에 적용되어 캐리를 생성합니다.

HA 기능 다이어그램

HA 기능 다이어그램

완전 가산기 회로에서는 3 개의 1 비트 숫자를 더합니다. 여기서 3 비트 중 2 개는 피연산자라고 할 수 있고 다른 하나는 전달 된 비트라고합니다. 생성 된 출력은 2 비트 출력이며 참조 할 수 있습니다. 출력 캐리 및 합계로.

반가산기를 사용하면 논리 게이트를 사용하여 간단한 추가를 설계 할 수 있습니다.


두 개의 단일 비트를 추가하는 예를 살펴 보겠습니다.

2 비트 반 가산기 진리표 다음과 같습니다.

반가산기 진실 표

반가산기 진실 표

0 + 0 = 0
0 + 1 = 1
1 + 0 = 1
1 + 1 = 10

이들은 가능한 가장 적은 단일 비트 조합입니다. 그러나 1 + 1의 결과는 10이므로 합계 결과는 2 비트 출력으로 다시 작성해야합니다. 따라서 방정식은 다음과 같이 쓸 수 있습니다.

0 + 0 = 00
0 + 1 = 01
1 + 0 = 01
1 + 1 = 10

‘10’의 출력‘1’은 수행됩니다. ‘SUM’은 정상 출력이고‘CARRY’는 캐리 아웃입니다.

이제 출력‘SUM’용 XOR 게이트와‘캐리’용 AND 게이트의 도움으로 1 비트 가산기를 쉽게 구현할 수 있다는 것이 분명해졌습니다.

예를 들어, 두 개의 8 비트 바이트를 함께 추가해야 할 때 전체 가산기 논리 회로를 사용하여 구현할 수 있습니다. 반가산기는 하나의 이진수 양을 더할 때 유용합니다.

2 진 숫자 가산기를 개발하는 방법은 진리표를 만들어서 줄이는 것입니다. 3 개의 이진수 가산기를 만들려면 반가산기 추가 작업을 두 번 수행합니다. 비슷한 방식으로 4 자리 가산기를 만들기로 결정하면 작업이 한 번 더 수행됩니다. 이 이론을 통해 구현은 간단하지만 개발은 시간이 걸리는 과정이라는 것이 분명했습니다.

가장 간단한 표현식은 배타적 OR 함수를 사용합니다.

합계 = A XOR B

캐리 = A와 B

HA 논리 다이어그램

HA 논리 다이어그램

그리고 기본 AND, OR 및 NOT 측면에서 동등한 표현식은 다음과 같습니다.

SUM = A.B + A.B’

반 가산기에 대한 VHDL 코드

엔티티 ha는

포트 (a : STD_LOGIC
b : STD_LOGIC에서
sha : STD_LOGIC 출력
cha : STD_LOGIC 출력)
끝 하

위 회로의 아키텍처 동작은 다음과 같습니다.

시작하다
샤<= a xor b
아니<= a and b
행동 종료

반가산기 IC 번호

반가산기의 구현은 SN74HC08 (7408) 및 SN74HC86 (7486)을 포함하는 74HCxx 시리즈와 같은 고속 CMOS 디지털 논리 집적 회로를 통해 수행 할 수 있습니다.

절반 가산기 제한

Half Adders와 같은 이진 가산기를 호출하는 주된 이유는 이전 비트를 사용하여 캐리 비트를 포함 할 범위가 없기 때문입니다. 따라서 이것은 특히 여러 비트를 추가하는 실시간 상황에서 바이너리 가산기처럼 사용 된 HA의 주요 제한 사항입니다. 따라서이 제한은 전체 가산기를 사용하여 극복 할 수 있습니다.

완전 가산기

이 가산기는 반 가산기에 비해 구현하기가 어렵습니다.

완전 가산기 기능 다이어그램

완전 가산기 기능 다이어그램

반가산기와 전 가산기의 차이점은 전 가산기에는 3 개의 입력과 2 개의 출력이있는 반면 반가산기는 2 개의 입력과 2 개의 출력 만 있다는 것입니다. 처음 두 입력은 A와 B이고 세 번째 입력은 C-IN으로 전달되는 입력입니다. 전 가산기 논리가 설계되면 그 중 8 개를 함께 연결하여 바이트 전체 가산기를 만들고 한 가산기에서 다음 가산기로 캐리 비트를 캐스케이드합니다.

FA 진실 표

FA 진실 표

출력 캐리는 C-OUT으로 지정되고 일반 출력은 'SUM'인 S로 표시됩니다.

위와 함께 완전 가산기 진리표 , 완전 가산기 회로의 구현을 쉽게 이해할 수 있습니다. SUM‘S’는 두 단계로 생성됩니다.

  1. 제공된 입력‘A’및‘B’를 XOR하여
  2. A XOR B의 결과는 C-IN과 XOR됩니다.

이것은 SUM을 생성하고 C-OUT은 3 개의 입력 중 2 개가 HIGH 일 때만 참이고 C-OUT은 HIGH가됩니다. 따라서 두 개의 반가산기 회로를 사용하여 전체 가산기 회로를 구현할 수 있습니다. 처음에는 절반 가산기를 사용하여 A와 B를 추가하여 부분 합계를 생성하고 후반 가산기 논리를 사용하여 전반 가산기에 의해 생성 된 합계에 C-IN을 추가하여 최종 S 출력을 얻을 수 있습니다.

반가산기 논리 중 하나가 캐리를 생성하면 출력 캐리가 발생합니다. 따라서 C-OUT은 반가산기 Carry 출력의 OR 함수가됩니다. 아래에 표시된 전체 가산기 회로의 구현을 살펴보십시오.

완전 가산기 논리 다이어그램

완전 가산기 논리 다이어그램

더 큰 논리 다이어그램의 구현은 위의 전체 가산기 논리를 사용하여 가능합니다. 더 간단한 기호는 주로 연산을 나타내는 데 사용됩니다. 다음은 1 비트 전체 가산기의 더 간단한 개략도입니다.

이 유형의 기호를 사용하면 두 비트를 더하여 다음으로 낮은 크기에서 캐리를 가져와 다음 높은 크기로 캐리를 보낼 수 있습니다. 컴퓨터에서 다중 비트 작업의 경우 각 비트는 완전 가산기로 표시되어야하며 동시에 추가되어야합니다. 따라서 2 개의 8 비트 숫자를 추가하려면 4 비트 블록 중 2 개를 계단식으로 형성 할 수있는 8 개의 완전 가산기가 필요합니다.

K-Map을 사용한 반가산기 및 전 가산기

반가산기에 대한 합계 및 캐리 출력도 Karnaugh 맵 (K-map)의 방법으로 얻을 수 있습니다. 그만큼 반가산기 및 전 가산기 부울 표현식 K-map을 통해 얻을 수 있습니다. 따라서 이러한 가산기에 대한 K- 맵은 아래에서 설명합니다.

반가산기 K- 맵은

HA K- 맵

HA K- 맵

전체 가산기 K-Map은

FA K- 맵

FA K- 맵

SUM 및 캐리의 논리적 표현

합 (S)의 논리식은 표에 언급 된 입력을 기반으로 결정될 수 있습니다.

= A’B’Cin + A’B CCin’+ A B’Cin’+ AB Cin
= Cin (A’B’+ AB) + Cin’(A’B + A B’)
= Cin EX-OR (A EX-OR B)
= (1,2,4,7)

캐리 (Cout)의 논리적 표현은 표에 언급 된 입력을 기반으로 결정될 수 있습니다.

= A'B Cin + AB'Cin + AB Cin '+ ABCin
= AB + BCin + ACin
= (3, 5, 6, 7)

위에서 언급 한 진리표를 사용하여 결과를 얻을 수 있으며 절차는 다음과 같습니다.

조합 회로는 회로에서 인코더, 디코더, 멀티플렉서 및 디멀티플렉서 . 조합 회로의 특성은 다음과 같습니다.

  • 모든 순간의 출력은 입력 터미널에 존재하는 레벨만을 기반으로합니다.
  • 메모리를 사용하지 않습니다. 이전 입력 상태는 회로의 현재 상태에 영향을주지 않습니다.
  • 임의의 수의 입력과 m 개의 출력을 가질 수 있습니다.

VHDL 코딩

완전 가산기를위한 VHDL 코딩 다음을 포함하십시오.

엔티티 full_add는

포트 (a : STD_LOGIC
b : STD_LOGIC에서
cin : STD_LOGIC에서
합계 : 출력 STD_LOGIC
cout : 출력 STD_LOGIC)
전체 _ 추가 종료

full_add의 아키텍처 동작은 다음과 같습니다.

성분 ha는
포트 (a : STD_LOGIC
b : STD_LOGIC에서
sha : STD_LOGIC 출력
cha : STD_LOGIC 출력)
끝 구성 요소
신호 s_s, c1, c2 : STD_LOGIC
시작하다
HA1 : ha 포트 맵 (a, b, s_s, c1)
HA2 : ha 포트 맵 (s_s, cin, sum, c2)
비용<=c1 or c2
행동 종료

그만큼 반가산기와 완전 가산기의 차이 반 가산기는 결과를 생성하고 완전 가산기는 반 가산기를 사용하여 다른 결과를 생성한다는 것입니다. 마찬가지로 Full-Adder는 두 개의 Half-Adder로 구성되어 있지만 Full-Adder는 산술 회로를 만드는 데 사용하는 실제 블록입니다.

미리보기 가산기 휴대

리플 캐리 가산기 회로의 개념에서는 추가에 필요한 비트를 즉시 사용할 수 있습니다. 모든 가산기 섹션은 이전 가산기 블록에서 캐리가 도착할 때까지 시간을 유지해야합니다. 이 때문에 회로의 각 섹션이 입력 도착을 대기하므로 SUM 및 CARRY를 생성하는 데 더 많은 시간이 걸립니다.

예를 들어 n 번째 블록에 대한 출력을 전달하려면 (n-1) 번째 블록에서 입력을 받아야합니다. 그리고이 지연을 전파 지연이라고합니다.

ripple carry adder의 지연을 극복하기 위해 carry-lookahead adder가 도입되었습니다. 여기서 복잡한 하드웨어를 사용하여 전파 지연을 최소화 할 수 있습니다. 아래 다이어그램은 전체 가산기를 사용하는 캐리-예측 가산기를 보여줍니다.

전체 가산기를 사용하여 미리보기 수행

전체 가산기를 사용하여 미리보기 수행

진리표와 해당 출력 방정식은 다음과 같습니다.

C + 1 질환
0000

캐리 금지

일으키다

0010
0100
0111

캐리 금지

전파

1000
1011
1101

나르다

일으키다

1111

캐리 전파 방정식은 Pi = Ai XOR Bi이고 캐리 생성은 Gi = Ai * Bi입니다. 이 방정식을 사용하여 합계 및 캐리 방정식을 다음과 같이 나타낼 수 있습니다.

SUM = Pi XOR Ci

Ci + 1 = Gi + Pi * Ci

Gi는 입력 캐리를 고려하지 않고 입력 Ai와 Bi가 모두 1 인 경우에만 캐리를 제공합니다. Pi는 Ci에서 Ci + 1 로의 캐리 전파와 관련이 있습니다.

반가산기와 전 가산기의 차이점

그만큼 반가산기와 전 가산기 테이블의 차이 아래에 나와 있습니다.

반가산기 완전 가산기
HA (Half Adder)는 조합 논리 회로이며이 회로는 두 개의 1 비트 숫자를 더하는 데 사용됩니다.Full Adder (FA)는 조합 회로이며이 회로는 3 개의 1 비트 숫자를 추가하는 데 사용됩니다.
HA에서는 이전 추가에서 생성 된 캐리가 다음 단계에 추가 될 수 없습니다.FA에서는 이전 추가에서 캐리가 생성되면 다음 단계에 추가 할 수 있습니다.
하프 가산기는 AND 게이트 및 EX-OR 게이트와 같은 두 개의 논리 게이트를 포함합니다.완전 가산기는 2 개의 EX-OR 게이트, 2 개의 OR 게이트 및 2 개의 AND 게이트를 포함합니다.
반가산기의 입력 비트는 A, B와 같이 2 개입니다.완전 가산기의 입력 비트는 A, B 및 C-in과 같은 세 가지입니다.
반가산기 합과 캐리 방정식은

S = a⊕b C = a * b

완전 가산기 논리 표현은

S = a ⊕ b⊕Cin Cout = (a * b) + (Cin * (a⊕b)).

HA는 컴퓨터, 계산기, 디지털 측정에 사용되는 장치 등에 사용됩니다.FA는 디지털 프로세서, 다중 비트 추가 등에 사용됩니다.

그만큼 반가산기와 완전 가산기의 주요 차이점 아래에서 설명합니다.

  • Half adder는 두 개의 이진 입력을 추가하여 sum 및 carry를 생성하는 반면 full adder는 세 개의 이진 입력을 추가하여 sum 및 carry를 생성하는 데 사용됩니다. 절반 가산기와 전체 가산기 하드웨어 아키텍처는 동일하지 않습니다.
  • HA와 FA를 차별화하는 주요 특징은 HA에서는 입력과 같이 마지막 추가 캐리를 고려할 거래가 없다는 것입니다. 그러나 FA는 Cin과 같은 특정 입력 열을 찾아 마지막 추가의 캐리 비트를 고려합니다.
  • 두 가산기는 회로 구성에 사용되는 구성 요소에 따라 차이를 보여줍니다. 하프 가산기 (HA)는 AND 및 EX-OR과 같은 두 개의 논리 게이트의 조합으로 설계되었으며 FA는 3 개의 AND, 2 개의 XOR 및 1 개의 OR 게이트의 조합으로 설계되었습니다.
  • 기본적으로 HA는 1 비트의 2 개 입력에서 작동하는 반면 FA는 1 비트의 3 개 입력에서 작동합니다. 반 가산기는 추가를 평가하기 위해 다른 전자 장치에서 사용되는 반면 전체 가산기는 긴 비트를 추가하기 위해 디지털 프로세서에서 사용됩니다.
  • 이 두 가산기의 유사점은 HA와 FA가 모두 결합 디지털 회로이므로 순차 회로와 같은 메모리 요소를 사용하지 않는다는 것입니다. 이 회로는 이진수를 추가하기 위해 산술 연산에 필수적입니다.

반가산기를 사용한 완전 가산기 구현

FA의 구현은 논리적으로 연결된 두 개의 절반 가산기를 통해 수행 할 수 있습니다. 이것의 블록 다이어그램은 두 개의 반가산기를 사용하여 FA의 연결을 알려주는 아래에 표시 될 수 있습니다.
이전 계산의 합계 및 캐리 방정식은 다음과 같습니다.

S = A 'B'Cin + A 'BC'in + ABCin

Cout = AB + ACin + BCin

합계 방정식은 다음과 같이 쓸 수 있습니다.

Cin (A'B‘+ AB) + C‘in (A‘B + A B’)

따라서 합계 = Cin EX-OR (A EX-OR B)

Cin (A EX-OR B) + C'in (A EX-OR B)

= Cin EX-OR (A EX-OR B)

Cout은 다음과 같이 작성할 수 있습니다.

COUT = AB + ACin + BCin.

COUT = AB + + 실망 BCin (A + A)

= ABCin + AB + ACin + A’B Cin

= AB (1 + Cin) + ACin + A’B Cin

= A B + ACin + A’B Cin

= AB + ACin (B + B’) + A’B Cin

= ABCin + AB + A’B Cin + A’B Cin

= AB (Cin + 1) + A B Cin + A’B Cin

= AB + AB’Cin + A’B Cin

= AB + Cin (AB '+ A'B)

따라서 COUT = AB + Cin (A EX-OR B)

위의 두 합계 및 캐리 방정식에 따라 FA 회로는 두 개의 HA 및 OR 게이트의 도움으로 구현 될 수 있습니다. 두 개의 절반 가산기가있는 완전 가산기의 회로도는 위에 설명되어 있습니다.

두 개의 절반 가산기를 사용하는 전체 가산기

두 개의 절반 가산기를 사용하는 전체 가산기

NAND 게이트를 사용한 완전 가산기 설계

NAND 게이트는 모든 종류의 논리 설계를 실행하는 데 사용되는 범용 게이트의 한 종류입니다. NAND 게이트 다이어그램이있는 FA 회로는 다음과 같습니다.

NAND Gates를 사용한 FA

NAND Gates를 사용한 FA

FA는 쉬운 1 비트 가산기이며 n 비트를 더하고 싶다면 n no. 1 비트 FA의 중 하나는 캐스케이드 연결 형식으로 사용되어야합니다.

장점

그만큼 반가산기와 전 가산기의 장점 다음을 포함하십시오.

  • 반가산기의 가장 중요한 목적은 두 개의 단일 비트 숫자를 더하는 것입니다.
  • 전체 가산기는 이전 추가로 인한 캐리 비트를 추가하는 기능을 보유합니다.
  • 완전 가산기를 사용하면 가산기, 멀티플렉서 및 기타 여러 가지 중요한 회로를 구현할 수 있습니다.
  • 전체 가산기 회로는 최소 전력을 소비합니다.
  • 반가산기에 비해 전 가산기의 장점은 반가산기가 주로 두 개의 1 비트 숫자를 더하는 데 사용되기 때문에 반가산기의 단점을 극복하기 위해 전 가산기가 사용된다는 것입니다. 하프 가산기는 캐리 비트를 추가하지 않으므로이를 극복하기 위해이 전체 가산기가 사용됩니다. Full adder에서는 3 비트를 추가 할 수 있으며 2 개의 출력을 생성합니다.
  • 덧셈기의 설계는 간단하고 1 비트 덧셈을 쉽게 이해할 수 있도록 기본 구성 요소입니다.
  • 이 가산기는 인버터를 추가하여 절반 감산기로 변환 할 수 있습니다.
  • 풀 가산기를 사용하면 높은 출력을 얻을 수 있습니다.
  • 고속
  • 공급 전압 조정에 매우 강함

단점

그만큼 반가산기와 전 가산기의 단점 다음을 포함하십시오.

  • 또한 반가산기는 휴대하기 전에는 사용할 수 없으므로 다중 비트의 계단식 추가에는 적용되지 않습니다.
  • 이러한 단점을 극복하기 위해 FA는 3 개의 1 비트를 추가해야합니다.
  • RA (Ripple Adder)와 같은 체인 형태로 FA를 사용하면 출력의 구동 능력이 저하 될 수 있습니다.

응용

반가산기 및 전 가산기의 응용 프로그램은 다음과 같습니다.

  • 이진 비트 추가는 가산기를 사용하기 때문에 컴퓨터 내에서 ALU를 사용하여 반 가산기로 수행 할 수 있습니다.
  • 반가산기 조합은 전체 가산기 회로를 설계하는 데 사용할 수 있습니다.
  • 절반 가산기는 계산기에서 사용되며 주소와 테이블을 측정합니다.
  • 이러한 회로는 디지털 회로 내에서 다양한 애플리케이션을 처리하는 데 사용됩니다. 미래에는 디지털 전자 장치에서 중요한 역할을합니다.
  • FA 회로는 Ripple Carry Adder와 같은 많은 대형 회로에서 요소로 사용됩니다. 이 가산기는 비트 수를 동시에 추가합니다.
  • FA는 ALU (산술 논리 장치)에서 사용됩니다.
  • FA는 GPU (Graphics Processing Unit)와 같은 그래픽 관련 애플리케이션에 사용됩니다.
  • 이들은 곱셈 회로에서 Carryout Multiplication을 실행하는 데 사용됩니다.
  • 컴퓨터에서는 메모리 주소를 생성하고 후속 명령에 대한 프로그램 대위 점을 구축하기 위해 Full Adder를 사용하여 Arithmetic Logic Unit을 사용합니다.

따라서 두 이진수의 추가가 수행 될 때마다 숫자가 처음에 최소 비트에 추가됩니다. 이 프로세스는 2 개의 1 비트 숫자를 추가 할 수있는 가장 간단한 n / w이기 때문에 반가산기를 통해 수행 할 수 있습니다. 이 가산기의 입력은 이진수이고 출력은 합계 (S)와 캐리 (C)입니다.

자릿수가 포함될 때마다 HA 네트워크는 이전 클래스의 캐리 번호를 추가 할 수 없으므로 최소 자릿수를 연결하는 데 사용됩니다. 완전 가산기는 모든 디지털 산술 장치의 기본으로 정의 할 수 있습니다. 세 개의 1 자리 숫자를 추가하는 데 사용됩니다. 이 가산기는 A, B 및 Cin과 같은 세 가지 입력을 포함하는 반면 출력은 Sum 및 Cout입니다.

관련 개념

그만큼 반가산기 및 완전 가산기와 관련된 개념 하나의 목적에만 집착하지 마십시오. 그들은 많은 응용 프로그램에서 광범위하게 사용되며 관련 몇 가지가 언급됩니다.

  • 반가산기 및 전 가산기 IC 번호
  • 8 비트 가산기 개발
  • 반가산기주의 사항은 무엇입니까?
  • 리플 캐리 가산기의 JAVA 애플릿

따라서 이것은 반가산기 및 전 가산기 이론 진리표 및 논리 다이어그램과 함께 반가산기 회로를 사용한 전 가산기 설계도 표시됩니다. 많은 반 가산기 및 완전 가산기 pdf 이러한 개념에 대한 고급 정보를 제공하는 문서를 사용할 수 있습니다. 또한 아는 것이 중요합니다 4 비트 전체 가산기가 구현되는 방법 ?